Doubtnut Promotions Sticky

Complete Solutions to ADDITIONAL QUESTIONS (III. MATCH THE FOLLOWING ) of chapter CHEMICAL KINETICS of Class 12 book with complete answers and questions

Class 12CHEMISTRY
ChapterCHEMICAL KINETICS
FULL MARKSADDITIONAL QUESTIONS (III. MATCH THE FOLLOWING )
Number Of Questions4
Academic Year2023-24
  1. Match the list I and II using the code given below the list .
    `{:("List -I","List - II"),("A. Acid hydrolysis of an ester","1. Fractional order reaction"),("B. Decomposition of "H_2O_2,"2. Second order reaction"),("C. Decomposition of "CH_3CHO,"3. Pseudo first order reaction"),("D. Substitution of methyl bromide with aqueous KOH","4. First order reaction"):}`
    Code


    View Solution
  2. Match the list I and II using the code given below the list .
    `{:(,"List -I",,"List - II"),(A.,2NO+O_2rarr2NO_2,1.,"First order reaction"),(B.,CH_3COOCH_3+H_2Ooverset(H^+)rarrCH_3COOH+CH_3OH,2.,"Zero order reaction"),(C.,""_(92)Uoverset(..)uoverset(..)uoverset(..)urarr_90Th+_2He,3.,"Pseudo first order reaction"),(D.,CH_3COCH_3+I_2rarrICH_2COCH_3+HI,4.,"Third order reaction"):}`
    code


    View Solution
  3. Match the list I and II using the code given below the list .
    `{:(,"List -I",,"List - II"),(A., N_2O(g)hArrN_2(g)+1//2O_2(g),1.,Order =1 ),(B. ,SO_2Cl(g)rarrSO_2(g)+cl_2(g),2.,Order = 4),(C.,CH_3CHO(g)rarrCH_4(g)+CO(g),3.,Order = 0),(D.,5Br_((aq))^(-)+BrO_(3(aq))^(-)+6H^(+)rarr3Br_(2(l))+3H_2O(l),4.,Order =3//2):}`
    code


    View Solution
  4. Match the list I and II using the code given below the list .
    `{:(,"List -I",,"List - II"),(A.,t_(1//2)" of first order reaction",1.,k=2.303/tlog.[((A_0))/((A))]),(B.,t_(1//2)"of "n^(th)"order",2.,f=e^(-(E_a)/(RT))),(C.,"Fraction of effective collision",3.,(0.6932)/k),(D.,"Rate constant of first order reaciton",4.,(2^(n-1)-1)/((n-1)k(A_0)^(n-1))):}`
    code


    View Solution

ADDITIONAL QUESTIONS (III. MATCH THE FOLLOWING ) questions and complete solutions for chapter CHEMICAL KINETICS of FULL MARKS CHEMISTRY (TAMIL ENGLISH) of Class 12