Doubtnut Promotions Sticky

Complete Solutions to अभ्यास 19.4 of chapter अनिश्चित समाकल of Class 12 book with complete answers and questions

Class 12MATHS
Chapterअनिश्चित समाकल
KC SINHA HINDIअभ्यास 19.4
Number Of Questions27
Academic Year2023-24
  1. `int sin^(3) x dx`


    01:31
    View Solution
  2. `int cos^(5) x dx`


    05:12
    View Solution
  3. `int sin^(2)" x cos x dx"`


    01:03
    View Solution
  4. `int sin^(2)" x cos"^3 x dx`


    02:31
    View Solution
  5. `int cos^(2) x sin^(3) x dx`


    02:44
    View Solution
  6. ` int sin^(3/4)"x cos x dx"`


    01:31
    View Solution
  7. `int sin^4 x cos^3 x dx`


    02:46
    View Solution
  8. `int sin^2 x cos^5 x dx`


    04:16
    View Solution
  9. `int sin^3 x sin 2x dx`


    02:08
    View Solution
  10. `int sin x cot^3 x dx`


    02:41
    View Solution
  11. `int cos x tan^3 x dx`


    02:19
    View Solution
  12. `int (cos^3 x )/ sqrt(sin x ) dx`


    03:02
    View Solution
  13. `int " cos x tan"^3 x dx`


    02:19
    View Solution
  14. ` int cos^2 x sin 3x dx`


    05:33
    View Solution
  15. `int cos^3 x * e^(log sin x) dx`


    01:50
    View Solution
  16. ` int sin^3 2x dx`


    03:13
    View Solution
  17. `int cos^5 x "cosec^(2)x dx`


    04:34
    View Solution
  18. ` int (dx)/(sin^3 x cos^5 x)`


    02:52
    View Solution
  19. `int (dx)/(sin^2 x cos^2 x)`


    01:42
    View Solution
  20. `int (dx)/(sin^3 x cos^3 x)`


    03:21
    View Solution
  21. `int ( sin^4 x)/(cos^6 x)dx`


    02:19
    View Solution
  22. `int sqrt((cos x)/(sin^5 x))dx`


    02:37
    View Solution
  23. `int (dx)/(4 sin 2x cos^2 x) `


    04:52
    View Solution
  24. `int (sqrt(tan x))/( sin x cos x)dx`


    02:47
    View Solution
  25. `int ( sin^6 + cos^6 x)/(sin^2 x cos^2 x)dx`


    04:17
    View Solution
  26. `int cos^4x dx`


    05:43
    View Solution
  27. `int cos^4 2x dx`


    08:42
    View Solution

अभ्यास 19.4 questions and complete solutions for chapter अनिश्चित समाकल of KC SINHA MATHS (HINDI) of Class 12